Fix changelog email address
[freerdp-ubuntu-pcb-backport.git] / keymaps / digital_vndr / pc
1 # This file was generated with xkb.pl (Wed Aug 11 09:09:10 2010)
2 # and is based on the X Keyboard Configuration Database version 1.9
3 # Please use xkb.pl to re-export newer versions of XKB
4
5
6 keyboard "pc_common"
7 {
8         VK_F1           <9>
9         VK_F2           <15>
10         VK_F3           <23>
11         VK_F4           <31>
12         VK_F5           <39>
13         VK_F6           <47>
14         VK_F7           <55>
15         VK_F8           <63>
16         VK_F9           <71>
17         VK_F10          <79>
18         VK_F11          <86>
19         VK_F12          <94>
20         VK_UP           <99>
21         VK_LEFT         <97>
22         VK_DOWN         <96>
23         VK_RIGHT        <106>
24         VK_NUMPAD7      <108>
25         VK_NUMPAD8      <117>
26         VK_NUMPAD9      <125>
27         VK_NUMPAD4      <107>
28         VK_NUMPAD5      <115>
29         VK_NUMPAD6      <116>
30         VK_NUMPAD1      <105>
31         VK_NUMPAD2      <114>
32         VK_NUMPAD3      <122>
33         VK_RETURN       <121>
34         VK_NUMPAD0      <112>
35         VK_DECIMAL      <113>
36         VK_KEY_1        <22>
37         VK_KEY_2        <30>
38         VK_KEY_3        <38>
39         VK_KEY_4        <37>
40         VK_KEY_5        <46>
41         VK_KEY_6        <54>
42         VK_KEY_7        <61>
43         VK_KEY_8        <62>
44         VK_KEY_9        <70>
45         VK_KEY_0        <69>
46         VK_OEM_MINUS    <78>
47         VK_OEM_PLUS     <85>
48         VK_BACK         <102>
49         VK_TAB          <13>
50         VK_KEY_Q        <21>
51         VK_KEY_W        <29>
52         VK_KEY_E        <36>
53         VK_KEY_R        <45>
54         VK_KEY_T        <44>
55         VK_KEY_Y        <53>
56         VK_KEY_U        <60>
57         VK_KEY_I        <67>
58         VK_KEY_O        <68>
59         VK_KEY_P        <77>
60         VK_OEM_4        <84>
61         VK_OEM_6        <91>
62         VK_CAPITAL      <20>
63         VK_KEY_A        <28>
64         VK_KEY_S        <27>
65         VK_KEY_D        <35>
66         VK_KEY_F        <43>
67         VK_KEY_G        <52>
68         VK_KEY_H        <51>
69         VK_KEY_J        <59>
70         VK_KEY_K        <66>
71         VK_KEY_L        <75>
72         VK_OEM_1        <76>
73         VK_OEM_7        <82>
74         VK_RETURN       <90>
75         VK_LSHIFT       <18>
76         VK_KEY_Z        <26>
77         VK_KEY_X        <34>
78         VK_KEY_C        <33>
79         VK_KEY_V        <42>
80         VK_KEY_B        <50>
81         VK_KEY_N        <49>
82         VK_KEY_M        <58>
83         VK_OEM_COMMA    <65>
84         VK_OEM_PERIOD   <73>
85         VK_OEM_2        <74>
86         VK_RSHIFT       <89>
87         VK_LCONTROL     <17>
88         VK_LMENU        <25>
89         VK_SPACE        <41>
90         VK_RMENU        <57>
91 };
92
93 keyboard "pc10x"
94 : extends "digital_vndr/pc(pc_common)"
95 {
96         VK_ESCAPE       <8>
97         VK_TILDE        <14>
98         VK_SNAPSHOT     <87>
99         VK_SCROLL       <95>
100         VK_PAUSE        <98>
101         VK_INSERT       <103>
102         VK_HOME         <110>
103         VK_PRIOR        <111>
104         VK_DELETE       <100>
105         VK_END          <101>
106         VK_NEXT         <109>
107         VK_NUMLOCK      <118>
108         VK_DIVIDE       <119>
109         VK_MULTIPLY     <126>
110         VK_SUBTRACT     <132>
111         VK_ADD          <124>
112         VK_RCONTROL     <88>
113 };
114
115 keyboard "pc101"
116 : extends "digital_vndr/pc(pc10x)"
117 {
118         VK_OEM_5        <92>
119 };
120
121 keyboard "pc102"
122 : extends "digital_vndr/pc(pc10x)"
123 {
124         VK_OEM_5        <19>
125         VK_OEM_5        <83>
126 };
127
128 keyboard "pc104"
129 : extends "digital_vndr/pc(pc101)"
130 {
131         VK_LWIN         <139>
132         VK_RWIN         <140>
133         VK_APPS         <141>
134 };
135
136 keyboard "lk411_common"
137 : extends "digital_vndr/pc(pc_common)"
138 {
139         VK_TILDE        <8>
140         VK_LSHIFT       <14>
141         VK_F13          <24>
142         VK_F14          <10>
143         VK_F17          <16>
144         VK_F18          <87>
145         VK_F19          <95>
146         VK_F20          <98>
147         VK_HELP         <11>
148         VK_INSERT       <103>
149         VK_DELETE       <100>
150         VK_SELECT       <101>
151         VK_PRIOR        <111>
152         VK_NEXT         <109>
153         VK_NUMLOCK      <118>
154         VK_DIVIDE       <119>
155         VK_MULTIPLY     <126>
156         VK_SUBTRACT     <132>
157         VK_SUBTRACT     <19>
158         VK_ADD          <124>
159 };
160
161 keyboard "lk411"
162 : extends "digital_vndr/pc(lk411_common)"
163 {
164         VK_OEM_5        <92>
165 };
166
167 keyboard "lk450"
168 : extends "digital_vndr/pc(lk411)"
169 {
170 };
171
172 keyboard "pcxajaa"
173 : extends "digital_vndr/pc(pc10x)"
174 {
175         VK_OEM_5        <93>
176         VK_OEM_5        <83>
177         VK_ABNT_C1      <81>
178         VK_NONCONVERT   <133>
179         VK_KANJI        <134>
180         VK_KANA         <135>
181 };
182
183 keyboard "lk411jj"
184 : extends "digital_vndr/pc(lk411_common)"
185 {
186         VK_ABNT_C1      <81>
187         VK_OEM_5        <83>
188         VK_NONCONVERT   <133>
189         VK_KANJI        <134>
190         VK_KANA         <135>
191 };
192