Linux-2.6.12-rc2
[linux-flexiantxendom0-natty.git] / include / linux / mii.h
1 /*
2  * linux/mii.h: definitions for MII-compatible transceivers
3  * Originally drivers/net/sunhme.h.
4  *
5  * Copyright (C) 1996, 1999, 2001 David S. Miller (davem@redhat.com)
6  */
7
8 #ifndef __LINUX_MII_H__
9 #define __LINUX_MII_H__
10
11 #include <linux/types.h>
12 #include <linux/if.h>
13
14 /* Generic MII registers. */
15
16 #define MII_BMCR            0x00        /* Basic mode control register */
17 #define MII_BMSR            0x01        /* Basic mode status register  */
18 #define MII_PHYSID1         0x02        /* PHYS ID 1                   */
19 #define MII_PHYSID2         0x03        /* PHYS ID 2                   */
20 #define MII_ADVERTISE       0x04        /* Advertisement control reg   */
21 #define MII_LPA             0x05        /* Link partner ability reg    */
22 #define MII_EXPANSION       0x06        /* Expansion register          */
23 #define MII_CTRL1000        0x09        /* 1000BASE-T control          */
24 #define MII_STAT1000        0x0a        /* 1000BASE-T status           */
25 #define MII_DCOUNTER        0x12        /* Disconnect counter          */
26 #define MII_FCSCOUNTER      0x13        /* False carrier counter       */
27 #define MII_NWAYTEST        0x14        /* N-way auto-neg test reg     */
28 #define MII_RERRCOUNTER     0x15        /* Receive error counter       */
29 #define MII_SREVISION       0x16        /* Silicon revision            */
30 #define MII_RESV1           0x17        /* Reserved...                 */
31 #define MII_LBRERROR        0x18        /* Lpback, rx, bypass error    */
32 #define MII_PHYADDR         0x19        /* PHY address                 */
33 #define MII_RESV2           0x1a        /* Reserved...                 */
34 #define MII_TPISTATUS       0x1b        /* TPI status for 10mbps       */
35 #define MII_NCONFIG         0x1c        /* Network interface config    */
36
37 /* Basic mode control register. */
38 #define BMCR_RESV               0x003f  /* Unused...                   */
39 #define BMCR_SPEED1000          0x0040  /* MSB of Speed (1000)         */
40 #define BMCR_CTST               0x0080  /* Collision test              */
41 #define BMCR_FULLDPLX           0x0100  /* Full duplex                 */
42 #define BMCR_ANRESTART          0x0200  /* Auto negotiation restart    */
43 #define BMCR_ISOLATE            0x0400  /* Disconnect DP83840 from MII */
44 #define BMCR_PDOWN              0x0800  /* Powerdown the DP83840       */
45 #define BMCR_ANENABLE           0x1000  /* Enable auto negotiation     */
46 #define BMCR_SPEED100           0x2000  /* Select 100Mbps              */
47 #define BMCR_LOOPBACK           0x4000  /* TXD loopback bits           */
48 #define BMCR_RESET              0x8000  /* Reset the DP83840           */
49
50 /* Basic mode status register. */
51 #define BMSR_ERCAP              0x0001  /* Ext-reg capability          */
52 #define BMSR_JCD                0x0002  /* Jabber detected             */
53 #define BMSR_LSTATUS            0x0004  /* Link status                 */
54 #define BMSR_ANEGCAPABLE        0x0008  /* Able to do auto-negotiation */
55 #define BMSR_RFAULT             0x0010  /* Remote fault detected       */
56 #define BMSR_ANEGCOMPLETE       0x0020  /* Auto-negotiation complete   */
57 #define BMSR_RESV               0x07c0  /* Unused...                   */
58 #define BMSR_10HALF             0x0800  /* Can do 10mbps, half-duplex  */
59 #define BMSR_10FULL             0x1000  /* Can do 10mbps, full-duplex  */
60 #define BMSR_100HALF            0x2000  /* Can do 100mbps, half-duplex */
61 #define BMSR_100FULL            0x4000  /* Can do 100mbps, full-duplex */
62 #define BMSR_100BASE4           0x8000  /* Can do 100mbps, 4k packets  */
63
64 /* Advertisement control register. */
65 #define ADVERTISE_SLCT          0x001f  /* Selector bits               */
66 #define ADVERTISE_CSMA          0x0001  /* Only selector supported     */
67 #define ADVERTISE_10HALF        0x0020  /* Try for 10mbps half-duplex  */
68 #define ADVERTISE_10FULL        0x0040  /* Try for 10mbps full-duplex  */
69 #define ADVERTISE_100HALF       0x0080  /* Try for 100mbps half-duplex */
70 #define ADVERTISE_100FULL       0x0100  /* Try for 100mbps full-duplex */
71 #define ADVERTISE_100BASE4      0x0200  /* Try for 100mbps 4k packets  */
72 #define ADVERTISE_PAUSE_CAP     0x0400  /* Try for pause               */
73 #define ADVERTISE_PAUSE_ASYM    0x0800  /* Try for asymetric pause     */
74 #define ADVERTISE_RESV          0x1000  /* Unused...                   */
75 #define ADVERTISE_RFAULT        0x2000  /* Say we can detect faults    */
76 #define ADVERTISE_LPACK         0x4000  /* Ack link partners response  */
77 #define ADVERTISE_NPAGE         0x8000  /* Next page bit               */
78
79 #define ADVERTISE_FULL (ADVERTISE_100FULL | ADVERTISE_10FULL | \
80                         ADVERTISE_CSMA)
81 #define ADVERTISE_ALL (ADVERTISE_10HALF | ADVERTISE_10FULL | \
82                        ADVERTISE_100HALF | ADVERTISE_100FULL)
83
84 /* Link partner ability register. */
85 #define LPA_SLCT                0x001f  /* Same as advertise selector  */
86 #define LPA_10HALF              0x0020  /* Can do 10mbps half-duplex   */
87 #define LPA_10FULL              0x0040  /* Can do 10mbps full-duplex   */
88 #define LPA_100HALF             0x0080  /* Can do 100mbps half-duplex  */
89 #define LPA_100FULL             0x0100  /* Can do 100mbps full-duplex  */
90 #define LPA_100BASE4            0x0200  /* Can do 100mbps 4k packets   */
91 #define LPA_PAUSE_CAP           0x0400  /* Can pause                   */
92 #define LPA_PAUSE_ASYM          0x0800  /* Can pause asymetrically     */
93 #define LPA_RESV                0x1000  /* Unused...                   */
94 #define LPA_RFAULT              0x2000  /* Link partner faulted        */
95 #define LPA_LPACK               0x4000  /* Link partner acked us       */
96 #define LPA_NPAGE               0x8000  /* Next page bit               */
97
98 #define LPA_DUPLEX              (LPA_10FULL | LPA_100FULL)
99 #define LPA_100                 (LPA_100FULL | LPA_100HALF | LPA_100BASE4)
100
101 /* Expansion register for auto-negotiation. */
102 #define EXPANSION_NWAY          0x0001  /* Can do N-way auto-nego      */
103 #define EXPANSION_LCWP          0x0002  /* Got new RX page code word   */
104 #define EXPANSION_ENABLENPAGE   0x0004  /* This enables npage words    */
105 #define EXPANSION_NPCAPABLE     0x0008  /* Link partner supports npage */
106 #define EXPANSION_MFAULTS       0x0010  /* Multiple faults detected    */
107 #define EXPANSION_RESV          0xffe0  /* Unused...                   */
108
109 /* N-way test register. */
110 #define NWAYTEST_RESV1          0x00ff  /* Unused...                   */
111 #define NWAYTEST_LOOPBACK       0x0100  /* Enable loopback for N-way   */
112 #define NWAYTEST_RESV2          0xfe00  /* Unused...                   */
113
114 /* 1000BASE-T Control register */
115 #define ADVERTISE_1000FULL      0x0200  /* Advertise 1000BASE-T full duplex */
116 #define ADVERTISE_1000HALF      0x0100  /* Advertise 1000BASE-T half duplex */
117
118 /* 1000BASE-T Status register */
119 #define LPA_1000LOCALRXOK       0x2000  /* Link partner local receiver status */
120 #define LPA_1000REMRXOK         0x1000  /* Link partner remote receiver status */
121 #define LPA_1000FULL            0x0800  /* Link partner 1000BASE-T full duplex */
122 #define LPA_1000HALF            0x0400  /* Link partner 1000BASE-T half duplex */
123
124 struct mii_if_info {
125         int phy_id;
126         int advertising;
127         int phy_id_mask;
128         int reg_num_mask;
129
130         unsigned int full_duplex : 1;   /* is full duplex? */
131         unsigned int force_media : 1;   /* is autoneg. disabled? */
132         unsigned int supports_gmii : 1; /* are GMII registers supported? */
133
134         struct net_device *dev;
135         int (*mdio_read) (struct net_device *dev, int phy_id, int location);
136         void (*mdio_write) (struct net_device *dev, int phy_id, int location, int val);
137 };
138
139 struct ethtool_cmd;
140 struct mii_ioctl_data;
141
142 extern int mii_link_ok (struct mii_if_info *mii);
143 extern int mii_nway_restart (struct mii_if_info *mii);
144 extern int mii_ethtool_gset(struct mii_if_info *mii, struct ethtool_cmd *ecmd);
145 extern int mii_ethtool_sset(struct mii_if_info *mii, struct ethtool_cmd *ecmd);
146 extern void mii_check_link (struct mii_if_info *mii);
147 extern unsigned int mii_check_media (struct mii_if_info *mii,
148                                      unsigned int ok_to_print,
149                                      unsigned int init_media);
150 extern int generic_mii_ioctl(struct mii_if_info *mii_if,
151                              struct mii_ioctl_data *mii_data, int cmd,
152                              unsigned int *duplex_changed);
153
154
155
156 /* This structure is used in all SIOCxMIIxxx ioctl calls */
157 struct mii_ioctl_data {
158         u16             phy_id;
159         u16             reg_num;
160         u16             val_in;
161         u16             val_out;
162 };
163
164
165 static inline struct mii_ioctl_data *if_mii(struct ifreq *rq)
166 {
167         return (struct mii_ioctl_data *) &rq->ifr_ifru;
168 }
169
170
171 /**
172  * mii_nway_result
173  * @negotiated: value of MII ANAR and'd with ANLPAR
174  *
175  * Given a set of MII abilities, check each bit and returns the
176  * currently supported media, in the priority order defined by
177  * IEEE 802.3u.  We use LPA_xxx constants but note this is not the
178  * value of LPA solely, as described above.
179  *
180  * The one exception to IEEE 802.3u is that 100baseT4 is placed
181  * between 100T-full and 100T-half.  If your phy does not support
182  * 100T4 this is fine.  If your phy places 100T4 elsewhere in the
183  * priority order, you will need to roll your own function.
184  */
185 static inline unsigned int mii_nway_result (unsigned int negotiated)
186 {
187         unsigned int ret;
188
189         if (negotiated & LPA_100FULL)
190                 ret = LPA_100FULL;
191         else if (negotiated & LPA_100BASE4)
192                 ret = LPA_100BASE4;
193         else if (negotiated & LPA_100HALF)
194                 ret = LPA_100HALF;
195         else if (negotiated & LPA_10FULL)
196                 ret = LPA_10FULL;
197         else
198                 ret = LPA_10HALF;
199
200         return ret;
201 }
202
203 /**
204  * mii_duplex
205  * @duplex_lock: Non-zero if duplex is locked at full
206  * @negotiated: value of MII ANAR and'd with ANLPAR
207  *
208  * A small helper function for a common case.  Returns one
209  * if the media is operating or locked at full duplex, and
210  * returns zero otherwise.
211  */
212 static inline unsigned int mii_duplex (unsigned int duplex_lock,
213                                        unsigned int negotiated)
214 {
215         if (duplex_lock)
216                 return 1;
217         if (mii_nway_result(negotiated) & LPA_DUPLEX)
218                 return 1;
219         return 0;
220 }
221
222
223 #endif /* __LINUX_MII_H__ */